ASML: The Little-Known Source of the World’s Technological Progress

Written by Robert Hwang

Semiconductors are the key component of almost every piece of modern technology we use. However, their utility eludes most people due to the technical nature of their function. Chips, as semiconductors are commonly called, are minuscule products, made of silicon, that have a conductivity between conductors- metals- and insulators- glass (Segal). 

All modern technology- ranging from your smartphone to military software- has these chips. Naturally, chip manufacturers attract a significant pool of investors; however, learning about the lucrative industry immediately reveals a notably complex supply chain. Thus, this is the story of ASML, the lone supplier of the chain’s first link, and how it became so dominant.  

Brief History 

According to ASML’s site, in 1984 Eindhoven, Netherlands, Philips, an electronics giant, and ASMI, a chips machine manufacturer, created Advanced Semiconductor Materials Lithography (ASML) to develop and study lithography machinery. The subsidiary was just one of many competitors in the young industry and was challenged by insufficient customers, pulled investments, and the immense cash required for research and development (R&D). Because of this, ASML’s board asked Philips board member Henk Bodt to invest $16M (Hofman). His investment was pivotal: it went to R&D, helped create the highest-quality lithography machine of its time, and generated significant revenue to relieve ASML’s finances. In the 2000s, it grew independent of Philips, and in 2016, it sold the world’s first extreme ultraviolet (EUV) lithography machine. 

What does ASML do?

ASML invented the revolutionary machinery needed to create the smallest semiconductors. To understand the machine, a basic knowledge of semiconductors is needed. They are made of dozens of layers of circular silicon wafers, each of which has a grid plot on which there are billions of transistors printed (Khare). The design of transistors, which determines the chip’s function, is printed by lithography machines that create and use tiny beams of light to make imprints onto the wafer. 

Lithography advances by reducing the size of the light beam, the wavelength in nanometers (nm), to make smaller transistors so chips can hold more, which is desired because more transistors mean the chip has greater capabilities, faster speeds, and less power consumption (Khare). Essentially, the name of the chip game is getting everything as small as possible. 

This simple aim is in actuality extremely difficult because creating the necessary light occurs on a ridiculously small scale. For comparison, Tarasov’s CBS article explains that the wavelength of light in the second-most advanced lithography machines, deep ultraviolet (DUV), is 193 nm, whereas ASML’s light, EUV light, is 13.5 nm. Considering that’s the length of 5 DNA strands and the transistors it prints are 10,000+ times smaller than human hair, these machines truly operate at the edge of lithography’s scientific boundaries. Therefore, ASML’s machines pull off a nearly irreplicable task. 

How did ASML revolutionize lithography, and why didn’t anyone else follow?

Overall, EUV lithography machines needed more than $6.3B in R&D investments over 17 years to come to market (“The Road to EUV”). This was accumulated from Bodt’s generous investment earlier in ASML’s history and constant R&D reinvestments, and not many competitors can do this. Receiving large investments from parent companies is rare because few parent companies would give up significant capital to a young company due to high risk, especially one focusing on a largely unknown science as ASML did. Also, fully committing to such an undeveloped and risky market is something not many competitors would consider worthwhile. 

Acquisitions of companies, intellectual property, and highly-skilled researchers and workers were also essential to ASML’s growth. Consistent R&D investments help, but obtaining entire companies’ assets is more effective. For instance, acquiring Cymer, Hermes Microvision, and Zeiss accelerated EUV light development, gave e-beam intellectual property, and provided the world’s flattest surface, respectively (“Zeiss and ASML Partnership”, “Acquisitions by ASML”). Other companies couldn’t replicate such rapid advancements, resulting in no competitor even knowing how to produce EUV light. 

Shipping the machines worldwide further complicates ASML’s operations and exhausts capital. Each machine has seven modules built in one of its six manufacturing sites before being shipped to the Netherlands for assembly and testing. If successful, they’re disassembled and require twenty trucks and three loaded Boeing 747s to ship to customers (Tarasov). The immense monetary costs, time, and effort make this business model extremely hard to follow, especially since most destinations are in Asia.

Additional barriers to entry include thousands of partnerships with suppliers and the small customer count. ASML boasts 5,000 supplier partnerships that can have benefits like discounted material costs, lesser chance of raising costs, long-term loyalty, and increased productivity due to greater corporate cooperation (“How we innovate”). This helps explain ASML’s production growth, and the short list of customers further obstructs competition. Since each machine costs up to $200M, only 5 leading chipmakers can afford them (Tarasov). Even if a competitor could make EUV machines, they’d have to steal customers who’ve been satisfied by one company for decades, assuming ASML maintains course, with lower costs and/or better machines. Considering ASML has decreased production costs “for the last 38 years, and will keep doing [it] for the next couple decades” according to its CEO Peter Wennink, lower prices are not viable, and since experts say it will take decades for another large lithography advancement to be created, beating its quality is also impracticable (Tarasov). 

Challenges to come

Instead of competition, ASML’s future holds a different concern: potentially overwhelming demand. Machines are shipped to customers, chip manufacturers in Asia, (e.g. TSMC, Intel, Samsung) who then ship finished chips to companies scattered worldwide (e.g. Apple) that use chips to produce end products. But since the pandemic, national quarantines and millions of infections shut down and delayed ports, transportation, and routes worldwide. The result was delayed or canceled shipments of lithography machines, chip input resources, and finished chips, and global chip supplies shifted down. In response, several countries passed acts for subsidies to produce chips domestically; for instance, the Creating Helpful Incentives to Produce Semiconductors and Science (CHIPS) Act of 2022 provides $52B in funding to chip manufacturers to build factories in the US instead of Asia (“Fact Sheet”). This is significant to ASML’s future because these chip manufacturers demand lithography machines for new factories, and the clear desire to accelerate chip production means it has to keep up with increased demand. This will heavily stress production teams, so ASML’s top concern will be increasing output to match rising demand.

The Takeaway

Considering ASML is the only supplier of EUV lithography machines, it’s a rare instance of a complete monopoly. This position, coupled with the necessity of semiconductors for all technological progression, truly makes them among the most powerful and essential companies in the world. 

Works Cited

“Acquisitions by ASML.” Tracxn, 23 Jan. 2023, https://tracxn.com/d/acquisitions/acquisitionsbyASML. 

Carliss, Dan. “EUV lithography for silicon nanosheet transistors.” Flickr, 2 June 2017, https://www.flickr.com/photos/ibm_research_zurich/34209805784. Accessed 19 Mar. 2023. 

“Fact Sheet: Chips and Science Act Will Lower Costs, Create Jobs, Strengthen Supply Chains, and Counter China.” The White House- Statements and Releases, 9 Aug. 2022, shorturl.at/mnvDG.

Hofman, Sander. “Three Leaders in ASML History Who Made a Difference.” ASML, 27 June 2016, https://www.asml.com/en/news/stories/2016/three-leaders-in-asml-history-who- made-a-difference.

“How We Innovate.” ASML, https://www.asml.com/en/technology/how-we-innovate#:~:text= Our%20partners%20include%20imec%20in,for%20Nanolithography%20in%20the% 20Netherlands. 

Khare, Mukesh. “How to Squeeze Billions of Transistors onto a Computer Chip.” IBM, https://www.ibm.com/thought-leadership/innovation-explanations/mukesh-khare-on- smaller-transistors-analytics#:~:text=In%20production%2C%20transistors%20are %20%E2%80%9Cprinted,a%20complex%20process%20called%20lithography.

“Our History – Supplying the Semiconductor Industry.” ASML, https://www.asml.com/en/ company/about-asml/history.

Segal, Troy. “What Is a Semiconductor and How Is It Used?” Investopedia, 13 Sept. 2022, https://www.investopedia.com/terms/s/semiconductor.asp.

Tarasov, Katie. “ASML Is the Only Company Making the $200 Million Machines Needed to Print Every Advanced Microchip. Here’s an inside Look.” CNBC, 23 Mar. 2022, https://www.cnbc.com/2022/03/23/inside-asml-the-company-advanced-chipmakers -use-for-euv-lithography.html.

“Why The World Relies On ASML For Machines That Print Chips.” YouTube, CNBC, 23 Mar. 2022, https://www.youtube.com/watch?v=iSVHp6CAyQ8. Accessed 8 Mar. 2023.

“Zeiss and ASML Strengthen Partnership for next Generation of EUV Lithography.” ZEISS and ASML Strengthen Partnership for Next Generation of EUV Lithography, 3 Nov. 2016, https://www.asml.com/en/news/press-releases/2016/zeiss-and-asml-strengthen- partnership-for-next-generation-of-euv-lithography.